Plantillas VHDL para máquinas de estado

author-image

Por

Esta página se compone de ejemplos de diseño para máquinas de estado en VHDL. Una máquina de estado es un circuito secuencial que avanza a través de varios estados. Los ejemplos proporcionan los códigos HDL para implementar los siguientes tipos de máquinas de estado:

  • Máquina de estado de comida de cuatro estados

Los resultados de una máquina de estado Mealy dependen tanto de las entradas como del estado actual. Cuando cambian las entradas, las salidas se actualizan sin esperar un borde de reloj.

  • Máquina de estado Moore state de 4 estados

Los resultados de una máquina de estado Moore solo dependen del estado presente. Los resultados se escriben solo cuando el estado cambia (en el borde del reloj).

  • Máquina de estado seguro

Este ejemplo utiliza el valor del atributo de síntesis syn_encoding seguro para especificar que el software debe insertar lógica adicional para detectar un estado ilegal y forzar la transición del equipo de estado al estado de restablecimiento.

  • Máquina de estado de codificación de usuario

Este ejemplo utiliza el atributo de síntesis syn_encoding para aplicar codificaciones binarias específicas a los elementos de un tipo enumerado.

El contenido de esta página es una combinación de la traducción humana y automática del contenido original en inglés. Este contenido se ofrece únicamente para su comodidad como información general y no debe considerarse completa o precisa. Si hay alguna contradicción entre la versión en inglés de esta página y la traducción, prevalecerá la versión en inglés. Consulte la versión en inglés de esta página.