VHDL: multiplicador-acumulador firmado

author-image

Por

Este ejemplo describe un diseño de multiplicador-acumulador firmado de 8 bits con puertos de E/S registrados y una entrada de carga sincrónica en VHDL. Las herramientas de síntesis pueden detectar diseños de multiplicador-acumulador en el código HDL y inferir automáticamente la altmult_accum megafunction o mapear la lógica a bloques DSP en la arquitectura del dispositivo de destino.

Figura 1. Diagrama de nivel superior del multiplicador firmado.

Descargue los archivos utilizados en este ejemplo:

El uso de este diseño se rige por los términos y condiciones del Contrato de licencia de ejemplo de diseño de Intel®y están sujetos a ellos .

El contenido de esta página es una combinación de la traducción humana y automática del contenido original en inglés. Este contenido se ofrece únicamente para su comodidad como información general y no debe considerarse completa o precisa. Si hay alguna contradicción entre la versión en inglés de esta página y la traducción, prevalecerá la versión en inglés. Consulte la versión en inglés de esta página.