Ley de Moore – Ahora y en el Futuro

La Ley de Moore siempre ha sido sobre innovación y podemos decir con confianza que la innovación sigue adelante

Figura 1: Gráfico original de "Abarrotar más componentes en circuitos integrados" 1

En 1965, el cofundador de Intel, Gordon Moore, predijo que el número de transistores en un chip se duplicaría aproximadamente cada dos años, con un aumento mínimo en el costo1. Esta predicción se conoció como la Ley de Moore y se representa en la Figura 1. Cuantos más transistores o componentes haya en un dispositivo, el costo por dispositivo se reduce mientras que el rendimiento por dispositivo aumenta.

La tasa de digitalización del mundo aumentó en los últimos dos años, provocada por la pandemia de COVID-19, y esta transición mejorada fue habilitada por la industria de semiconductores y su innovación.

El CEO de Intel, Pat Gelsinger, ha compartido: "La tecnología nunca ha sido más importante para la humanidad de lo que es ahora. Todo se está volviendo digital, con cuatro superpoderes clave". Los superpoderes (computación omnipesente, infraestructura de nube al edge, conectividad e inteligencia artificial) están preparadas para trascender y transformar el mundo. En este momento no vemos el fin de la demanda de computación, y más computación continúa empujando a la industria a una mayor innovación. Por ejemplo, el mundo crea casi 270.000 petabytes (es decir, 27 x1019) de datos cada día 2. Estamos proyectando que, para finales de esta década, en promedio, todos tendremos 1 petaflop (1015 operaciones de coma flotante por segundo) de cómputo y 1 petabyte de datos a menos de 1 milisegundo de distancia3. Esta demanda de más y más potencia de cómputo es el impulso para que la industria mantenga el ritmo de la Ley de Moore.

Lea más: Semiconductors Run the World (Editorial Pat Gelsinger) | Liderando en IA: Un enfoque holístico que es exclusivamente Intel (Editorial de Sandra Rivera) | Definiendo y liderando el edge(Nick McKeown Editorial) | Ventaja de software de Intel, decodificada (Greg Lavender Editorial) | Reunión de inversores de Intel 2022 (Kit de prensa)

Durante más de 40 años, los ingenieros de Intel han innovado continuamente para exprimir más y más transistores en chips cada vez más pequeños y mantener el ritmo de la Ley de Moore. A mediados y finales de la década de 2010, como lo ha hecho varias veces antes, la industria predijo que "la Ley de Moore está muerta". Parafraseando un famoso dicho, siento que los informes de la muerte de la Ley de Moore son muy exagerados. La innovación no está muerta, y mantendremos la Ley de Moore como siempre lo hemos hecho, a través de la innovación: innovación en el proceso, en el embalaje y en la arquitectura. Será un desafío como siempre, e Intel está a la altura del desafío.

Figura 2: Innovaciones de transistores a lo largo del tiempo

Innovación hoy: Proceso

Intel tiene una larga y rica historia de innovaciones de procesos fundamentales en la búsqueda de la Ley de Moore, como se ve en la Figura 2. Los ingenieros y científicos de Intel se han enfrentado continuamente, y luego han superado, los desafíos planteados por la física cuando las características de un chip se reducen al tamaño de los átomos. Con inventos como la tecnología de compuerta metálica de alta k, los transistores 3D de tres puertas y el silicio tensado, Intel ha entregado constantemente tecnologías innovadoras para mantener el ritmo de la Ley de Moore. A finales de la década de 2000, a medida que las dimensiones físicas continuaron reduciéndose, la industria se dio cuenta que se necesitaba áreas adicionales para la innovación, incluida la ciencia de los materiales, la nueva arquitectura de procesos y la co-optimización de la tecnología de diseño (DTCO), para mantener el ritmo.

La próxima gran innovación arquitectónica de Intel es RibbonFET, nuestra implementación del transistor gate-all-around (GAA), que llega con Intel 20A. RibbonFET representa nuestra primera nueva arquitectura de transistores desde FinFET. RibbonFET ofrece velocidades de conmutación de transistores más rápidas con la misma corriente de accionamiento en un espacio más pequeño. Al mismo tiempo, también ofrecemos PowerVia, la primera arquitectura de entrega de energía trasera de la industria. Anteriormente, la potencia provenía de la parte superior del dado y "competía" con las interconexiones de señal. Al separar la potencia y la señal, puede usar las capas de metal de manera más efectiva, ya que hay menos compensaciones que hacer. Esto se traduce en un mejor rendimiento. La próxima generación de litografía ultravioleta extrema (EUV), high numerical aperture o "High NA", aporta nuevas mejoras en la resolución y la reducción de errores, ofreciendo una reducción en la complejidad del proceso con una mayor flexibilidad en las reglas de diseño. Intel, tiene estrecha colaboración con ASML y otros socios del ecosistema para ser el primero en llevar esta tecnología a una producción masiva.

Estos ejemplos son solo el comienzo. Después de la introducción de RibbonFET y PowerVia con Intel 20A e Intel 18A, los nuevos nodos de proceso de seguimiento ya están en desarrollo que ofrecen ganancias adicionales en potencia, rendimiento y densidad. Estas ganancias se logran a través de varias innovaciones, incluidas las mejoras de resistencia y capacitancia del metal del backend, la arquitectura del transistor y las mejoras en la arquitectura de la biblioteca. Como dijimos en julio de 2021, a medida que implementamos estas innovaciones y otras, esperamos lograr el rendimiento del transistor por paridad de vatios para 2024 y el liderazgo para 2025.

Figura 3: Innovaciones de paquetes a lo largo del tiempo

Innovación hoy: Embalaje

El papel del embalaje y su contribución a la escala de la Ley de Moore está evolucionando. Hasta la década de 2010, el papel principal del embalaje era enrutar la potencia y la señalización entre la placa base y el silicio, y proteger el silicio. Cada evolución en esa época, desde los paquetes de unión de alambre y marco de plomo, hasta la tecnología de chip giratorio en sustratos cerámicos, la adopción de sustratos orgánicos y la introducción de paquetes de múltiples chips, trajo un mayor número de conexiones. Estas conexiones soportaban más funcionalidad en el silicio, que era necesaria para el escalado de la Ley de Moore. El embalaje sirvió como el vehículo a través del cual se realizaron los beneficios de la Ley de Moore. (Ver Figura 3.)

En el futuro, a medida que entramos en la era del empaquetado avanzado, vemos ganancias en la densidad de transistores que nuestro empaque entrega. Incluso el propio Gordon entendió la importancia del embalaje y lo dijo en su artículo original: "Puede resultar más económico construir sistemas grandes a partir de funciones más pequeñas, que están empaquetadas e interconectadas por separado".4 A medida que entramos en la era del empaquetado avanzado, estas tecnologías de apilamiento 2D y 3D brindan a los arquitectos y diseñadores las herramientas para aumentar aún más el número de transistores por dispositivo y contribuirán al escalado necesario para la Ley de Moore.

Por ejemplo, nuestra tecnología integrada de puente de interconexión multi-matriz (EMIB) permite a un diseñador, parafraseando a Gordon nuevamente, "meter más transistores" en el paquete. Esto permite al diseñador superar con creces las limitaciones de tamaño de una sola pieza de silicio. EMIB también permite el uso de silicio de diferentes nodos de proceso en un paquete, lo que permite a un diseñador elegir el mejor nodo de proceso para esa IP específica. La tecnología Foveros de Intel estableció la primera capacidad activa de apilamiento de silicio lógica sobre lógica de la industria, creando la capacidad de agregar transistores lógicos en una tercera dimensión. Ambos logros representan un cambio notable en la forma en que entregamos un número creciente de transistores por paquete. Cuando se combinan, estas tecnologías pueden alcanzar un nivel de integración nunca visto. Por ejemplo, Ponte Vecchio, donde combinamos 47 baldosas de silicio en un solo paquete, establece un nuevo punto de referencia para la funcionalidad avanzada del embalaje.

Nuestras próximas evoluciones de Foveros, Foveros Omni y Foveros Direct, ofrecen un nuevo escalado, una nueva tecnología de interconexión y nuevas capacidades de mezcla y combinación. Foveros Omni escala aún más el paso de interconexión a 25 micras y agrega opciones para múltiples matrices de base. Este es un aumento aproximado de 4 veces en la densidad sobre EMIB, al tiempo que amplía nuestra capacidad para mezclar y combinar baldosas base. Foveros Direct introduce la unión directa de cobre a cobre sin soldadura, lo que permite interconexiones de baja resistencia y pasos de choque de menos de 10 micras. La capacidad de interconexión resultante abre nuevos horizontes en la partición funcional de troqueles que antes no eran alcanzables y la capacidad de apilar verticalmente múltiples capas activas de silicio. A medida que estas tecnologías (y otras) llegan al mercado, el embalaje avanzado entregará otra herramienta a los diseñadores y arquitectos para que la utilicen en su búsqueda de la Ley de Moore.

Figura 4: Principales áreas de investigación

Innovación mañana: Investigación de componentes

Como mencioné anteriormente, creo que la innovación, junto con la demanda de los usuarios finales, impulsa la Ley de Moore. La investigación de componentes de Intel se centra en tres áreas de investigación clave (consulte la Figura 4) para ofrecer los bloques de construcción fundamentales para una informática más potente en el futuro. Tenemos una cartera completa de investigaciones en marcha que nos da la confianza de que mantendremos la Ley de Moore durante la próxima década o más. Las innovaciones futuras que alimentan la Ley de Moore están limitadas solo por nuestra imaginación. Recientemente, en la Reunión Internacional de Dispositivos Electrónicos (IEDM) IEEE 20215, describimos varias áreas de innovación futura.

Un enfoque para nuestra investigación es escalar tecnologías que entreguen más transistores en la misma área. Esto incluye avances innovadores en litografía como el autoensamblaje dirigido (DSA) de moléculas para mejorar la rugosidad del edge y la precisión. También investigamos nuevos materiales, de solo unos pocos átomos de grosor, para crear transistores más delgados, reduciendo su tamaño general. Además de innovaciones como estas, estamos estableciendo capacidades viables para apilar transistores verticalmente, ya sea monolíticamente en la misma pieza de silicio o como chiplets utilizando tecnologías de empaque avanzadas como la unión híbrida con tonos de interfaz vertical cada vez menores. Al tener nuevos materiales, innovaciones en la arquitectura de transistores, avances en litografía e invenciones de empaque como grados de libertad, los diseñadores solo estarán limitados por su imaginación.

A medida que habilitamos una computación más potente a través del escalado, necesitamos aportar nuevas capacidades al silicio y estirar sus límites. Al integrar nuevos materiales, podemos entregar energía de manera más eficiente y satisfacer mayores demandas de memoria. También estamos investigando materiales ferroeléctricos y antiferroeléctricos, que pueden conservar su estado de carga basado en un tipo diferente de física sin tener que depender de transistores de baja fuga. Hemos inventado una nueva arquitectura de memoria basada en la física única de los materiales ferroeléctricos que permite un aumento significativo en la densidad de bits mediante el uso de un transistor de acceso con múltiples condensadores en paralelo. La memoria ferroeléctrica es un fuerte candidato para un nivel de memoria densa integrada entre la memoria caché y la memoria principal.

También estamos adoptando el reino cuántico, no solo en forma de computación cuántica, sino explorando nuevos conceptos en física y ciencia de materiales que algún día pueden revolucionar la forma en que el mundo hace computación. La progresión a largo plazo de la Ley de Moore requiere superar el crecimiento exponencial en los requisitos de consumo de energía de la computación actual basada en CMOS7. Para continuar, para escalar soluciones de potencia ultra baja que utilicen efectos cuánticos en materiales (llamados materiales cuánticos) a temperatura ambiente. En 2021 en IEDM, informamos de un gran hito en la investigación de dispositivos más allá de CMOS: la primera demostración funcional de un dispositivo lógico de órbita de espín magnetoeléctrico con sus componentes de lectura y escritura funcionales a temperatura ambiente. Tanto el módulo de salida de órbita de espín como un módulo de entrada magnetoeléctrica están integrados juntos en el dispositivo, y las inversiones del estado de magnetización se logran a través del voltaje de entrada aplicado. Con su capacidad para realizar la puerta mayoritaria de mayor funcionalidad (en comparación con las NAND y NOR), tres dispositivos MESO que forman puertas mayoritarias de potencia ultrabaja pueden implementar un sumador de 1 bit, que de otro modo requeriría 28 transistores8.

Figura 5: Ley de Moore número de transistores por dispositivo: pasado, presente, futuro

En conclusión

La Ley de Moore predice que el número de transistores por dispositivo se duplicará cada dos años. La Ley de Moore es y siempre ha sido impulsada por la innovación. La Figura 5 ilustra el número de transistores por dispositivo mientras miramos hacia el pasado, el presente y el futuro. Durante los primeros 40 años, las ganancias provinieron principalmente de las innovaciones en nuestro proceso. En el futuro, las ganancias provendrán de las innovaciones tanto en el proceso como en el envasado. Nuestros procesos continuarán ofreciendo mejoras históricas de densidad, mientras que nuestras tecnologías de apilamiento 2D y 3D brindan a los arquitectos y diseñadores más herramientas para aumentar el número de transistores por dispositivo. A medida que esperamos tecnologías innovadoras como High NA, RibbonFET, PowerVia, Foveros Omni y Direct, y otras, no vemos fin a la innovación y, por lo tanto, no tenemos fin a la Ley de Moore.

En resumen, cuando consideramos todos los diversos procesos e innovaciones avanzadas de empaque, hay numerosas opciones disponibles para continuar duplicando el número de transistores por dispositivo a la cadencia exigida por nuestros clientes. La Ley de Moore solo se detiene cuando la innovación se detiene, y la innovación continúa sin cesar en Intel en el proceso, el embalaje y la arquitectura. Seguimos sin inmutarnos en nuestra aspiración de entregar aproximadamente 1 billón de transistores en un solo dispositivo para 2030.

1 G. E. Moore, "Cramming more components on integrated circuits, Reprinted from Electronics, volume 38, number 8, April 19, 1965, pp.114 ff.", en IEEE Solid-State Circuits Society Newsletter, vol. 11, no. 3, pp. 33-35, sept. 2006, doi: 10.1109/N-SSC.2006.4785860. (enlazado en Intel.com)

2Según IDC, cada día se generan 267.906 Petabytes de datos.

3 Proyección basada en análisis internos de Intel

4 G. E. Moore, "Cramming more components on integrated circuits, Reprinted from Electronics, volume 38, number 8, April 19, 1965, pp.114 ff.", en IEEE Solid-State Circuits Society Newsletter, vol. 11, no. 3, pp. 33-35, sept. 2006, doi: 10.1109/N-SSC.2006.4785860.

5 IEEE International Electron Devices Meeting (IEDM) es el foro preeminente del mundo para informar sobre los avances tecnológicos en las áreas de tecnología de semiconductores y dispositivos electrónicos, diseño, fabricación, física y modelado. IEDM es la conferencia insignia para la tecnología de transistores CMOS a escala nanométrica, memoria avanzada, pantallas, sensores, dispositivos MEMS, nuevos dispositivos cuánticos y a nanoescala y fenomenología, optoelectrónica, dispositivos para la recolección de energía y energía, dispositivos de alta velocidad, así como tecnología de procesos y modelado y simulación de dispositivos.

6 Unlocking pitch scaling with EUV: complimentary EUV and DSA approach for multi-pitch grating definition, Eungnak Han, Gurpreet Singh, Tayseer Mahdi, Florian Gstrein, Intel Corp. (USA). [11326-25]

7https://www.src.org/about/decadal-plan/ Plan Decenal: Cambio Sísmico # 5: "La demanda de energía en constante aumento para la computación frente a la producción mundial de energía está creando un nuevo riesgo, y los nuevos paradigmas informáticos ofrecen oportunidades para mejorar drásticamente la eficiencia energética".

8 Actas del IEEE (Volumen: 101, Número: 12, Dic. 2013). DOI: 10.1109/JPROC.2013.2252317