Intel Foundry Services abre una nueva era

El nuevo líder del IFS comparte su punto de vista sobre el progreso de la fundición, las nuevas colaboraciones y las oportunidades de éxito.

Opinión

  • 31 de mayo de 2023

  • Contacto del equipo PR de Intel

  • Siga las noticias de Intel en las redes sociales:

    Logotipo de Twitter
    Icono de YouTube

author-image

Por

En abril, Intel Foundry Services (IFS) y Arm anunciaron un acuerdo multigeneracional para permitir a los diseñadores de chips construir sistemas en chip (SoC) de cómputo de bajo consumo de energía con la tecnología de Intel. Nos entusiasma brindar a nuestros clientes la oportunidad de diseñar sus SoCs móviles utilizando la tecnología de proceso de vanguardia de Intel, la tecnología 18A, en combinación con el último y más potente núcleo de CPU Arm, el Cortex-X4 de última generación, lanzado recientemente para mejorar la potencia y el desempeño.

Esto es una gran señal del impulso que se desarrolla en torno a IFS, y es parte del motivo por el cual estaba ansioso por asumir el liderazgo de la organización en marzo. IFS está en un viaje notable, y ahora que he pasado un tiempo con la organización, deseo compartir más acerca de por qué nuestra misión es críticamente importante para los clientes de fundición en todo el mundo, para Intel y para mí. 

Una enorme oportunidad

Me uní por primera vez a Intel en 1981, en los albores de la era de las PC, y regresé en 2021 cuando nuestro CEO, Pat Gelsinger, presentó la estrategia IDM 2.0 de Intel. Al escuchar su visión, me sentí motivado por la oportunidad de ayudar a restaurar el liderazgo mundial de Intel en la creciente industria de semiconductores.

La demanda mundial de semiconductores sigue experimentando un crecimiento sostenido a largo plazo, y se espera que la industria de los chips alcance un billón de dólares en ventas a finales de la década. Esta es una oportunidad sin precedentes. Al mismo tiempo, el 80% de la capacidad mundial de fabricación de chips se concentra en Asia, y muchos clientes de fundiciones buscan más opciones.

La industria necesita cadenas de suministro resilientes y diversificadas a nivel mundial. Intel es una de las tres compañías en el mundo que actualmente fabrican chips de vanguardia. Y hasta que se creó el IFS, Intel era la única sin fundición comercial. Al aprovechar las capacidades de fabricación de vanguardia de Intel, su legendaria cadena de suministro y su sólido ecosistema de socios, IFS tiene como objetivo ambicioso convertirse en la segunda fundición más grande para el año 2030.

Nuestro enfoque diferenciado

Parte de cómo impulsaremos el crecimiento es yendo más allá de las ofertas tradicionales de fundición y construyendo IFS como la primera fundación de sistema abierto del mundo, liderando la transición de los sistemas en chip estándar monolíticos a "sistemas de chips" en un paquete. Nuestras ofertas combinadas de fabricación de obleas, procesos avanzados y tecnología de empaquetado, estándares de chiplets, software, un ecosistema sólido y capacidades de ensamblaje y prueba ayudarán a nuestros clientes a desarollar diseños de silicio innovadores y ofrecer productos personalizados de principio a fin. 

Las avanzadas tecnologías de empaquetado de Intel son un elemento diferenciador clave de IFS, ya que permiten a los clientes incluir más funciones en cada nueva generación, manteniendo el mismo coste, consumo y espacio físico.  En la actualidad, algunos de nuestros clientes más grandes de IFS, como Amazon, Cisco y el Departamento de Defensa de EE. UU. , utilizan nuestras soluciones de embalaje. El Departamento de Defensa necesita más que nunca capacidades avanzadas de fundición y embalaje, e IFS está preparado para ayudar al gobierno de EE.UU. a realizar una transición segura a las fundiciones comerciales.

El cliente es lo primero

Las personas y la cultura de Intel siempre han sido pilares fundamentales en el éxito y la resiliencia de nuestra empresa; esta fue una de las razones por las que regresé. En los últimos dos años, Intel ha desarrollado nuevos conjuntos de competencias y modelos operativos clave para impulsar un cambio cultural hacia un enfoque centrado en el cliente en apoyo a los clientes de la fundición. Esta es una de las principales prioridades para IFS ya que ser una empresa de construcción significa ser un negocio de servicio al cliente por encima de todoy comprometerse profundamente con los clientes durante todo el proceso de diseño y fabricación.

Como parte de este cambio dentro de Intel, tratamos por igual a los clientes externos de fundición y a nuestras unidades de negocio internas, al tiempo que proporcionamos confidencialidad y protección para la propiedad intelectual (PI) y establecemos corredores de capacidad dedicados a los clientes. Para ello, estamos implementando un modelo de fundición interna que estableceprocesos, sistemas y salvaguardias consistentes entre nuestras unidades de negocio y nuestros equipos de diseño y fabricación. Esto coloca a los clientes externos de la fundición en igualdad de condiciones que nuestros grupos de productos internos. Los clientes de IFS pueden estar seguros de la excelencia técnica, la innovación y la capacidad que han contratado.

Implementando nuestra estrategia

Sabemos por experiencia que construir rápidamente un negocio de fundición exitoso desde cero es una de las tareas más difíciles que podríamos asumir. Ahora, al implementar nuestra estrategia IDM 2.0, estamos tomando medidas para asegurarnos de que tanto nosotros como nuestros clientes tengamos éxito.

Intel se está preparando para satisfacer la demanda futura de los clientes de la fundición a través de su enfoque de fabricación a escala mundial, aprovechando las expansiones de sus fábricas en Estados Unidos, la Unión Europea e Israel. Preparar nuestras fábricas para apoyar a los clientes de la fundición nos permitirá atender la creciente demanda global de chips de vanguardia, al mismo tiempo que logramos la escala necesaria para continuar invirtiendo en tecnologías avanzadas.

También estamos construyendo un ecosistema sólido de herramientas de automatización de diseño electrónico (EDA), propiedad intelectual de silicio, servicios de diseño, servicios en la nube y alianzas con el sector militar, aeroespacial y gubernamental de Estados Unidos (USMAG) para ayudar a los clientes de la fundición de Intel a llevar sus productos de silicio desde la idea hasta la implementación. A través del programa IFS Accelerator , ofrecemos una interfaz fluida con las tecnologías de proceso de Intel y aceleramos la innovación del cliente en las plataformas de fabricación de IFS.

El camino hacia adelante

IFS sigue comprometido en garantizar que los productos de los clientes de la fundición reciban nuestra máxima atención en términos de servicio, habilitación tecnológica y compromisos de capacidad. A medida que construimos y expandimos nuestro futuro en los servicios de fundición, buscamos el apoyo de nuestros socios estratégicos del ecosistema, como Arm, Cadence, Synopsys y otros. Damos la bienvenida a la comunidad de diseño de SoC a aprovechar la fortaleza de Intel para impulsar el próximo nivel de innovación en semiconductores.

IFS ha recorrido un largo camino en los últimos dos años y me apasiona el rumbo que podemos tomar en los años venideros. IFS celebrará su propio evento a finales de año, en el que hablaremos de nuestros avances y de cómo trabajamos con nuestros socios del ecosistema para impulsar las innovaciones de nuestros clientes. Espero poder compartir más con ustedes mientras seguimos aplicando nuestra estrategia, desarrollando nuestras capacidades y creando una nueva alternativa de fundición de vanguardia para el mundo.

Stuart Pann es el vicepresidente senior de Intel y gerente general de Intel Foundry Services.